选择题:STD_LOGIC_UNSIGNED和STD_LOGIC_SIGNED程序包的区别是,STD_LOGIC_SIGNED中

  • 题目分类:知到智慧树
  • 题目类型:选择题
  • 查看权限:VIP
题目内容:

STD_LOGIC_UNSIGNED和STD_LOGIC_SIGNED程序包的区别是,STD_LOGIC_SIGNED中定义的运算符考虑到了符号,是有符号数的运算,而STD_LOGIC_UNSIGNED则正好相反。

A.正确

B.错误

参考答案:

每个实体可以有多个结构体,每个结构体对应着实体不同结构和算法实现方案。对于具有多个结构体的实体,必须用()配置语句指明用

每个实体可以有多个结构体,每个结构体对应着实体不同结构和算法实现方案。对于具有多个结构体的实体,必须用()配置语句指明用于综合的结构体和用于仿真的结构体。A.CONSTANTB.ARCHITECTU

查看答案

下列不属于交换节点设备的是()

下列不属于交换节点设备的是()

查看答案

芯片的管脚锁定就是将设计实体的管脚与目标芯片特定的可输入输出管脚建立一一映射的过程。它包括两个方面:一是需设定未用的管脚

芯片的管脚锁定就是将设计实体的管脚与目标芯片特定的可输入输出管脚建立一一映射的过程。它包括两个方面一是需设定未用的管脚;二是根据需要进行管脚的锁定。A.正确B.错误

查看答案

铝合金结构敞车角柱、端柱弯曲大于()时调修。

A.30mmB.50mmC.25mmD.40mm

查看答案

甲公司委托乙专业制冷公司对其液氨制冷系统进行改造和维护。乙公司在进行改造维 护工作的同时,甲公司的部分生产活动仍然照常进

甲公司委托乙专业制冷公司对其液氨制冷系统进行改造和维护。乙公司在进行改造维 护工作的同时,甲公司的部分生产活动仍然照常进行。下列关于工作现场安全管理的说法中,错误的是( )。

查看答案